Logo Studenta

Implementacion_de_un_sistema_de_medida_d

¡Estudia con miles de materiales!

Vista previa del material en texto

Implementación de un sistema de medida de impedancia para redes eléctr icas en tiempo real.
Héctor. O. Pascual
hpascual@volta.ing.unlp.edu.ar
José A. Rapalli ni
josrap@ing.unlp.edu.ar
Antonio A. Quijano
quijano@ing.unlp.edu.ar
CeTAD, Dto. Electrotecnia, Facultad de Ingeniería, Univ. Nacional de La Plata, 1900, La Plata, Argentina.
Abstract:
The aim of this paper is to show the advances in the development of a digital measure system of impedance
in real time, for a single-phase electrical system at its fundamental frequency (50 Hz), accomplishing at this first
stage, the study of the problem, simulation and analysis of the algorithms of its fundamental parts, according to
the methodology of Hardware / Software Co-design.
The expected result of the whole project will be the implementation of an Integrated Circuit, for its use as a
system embedded in the equipment in question.
Impedance calculation is based on the quotient of the phasors of tension and current at the wished fre-
quency, and to such end the Fourier, Cosine and Sine filters are analysed, showing the effect that data window
length causes on the presented algorithms. These results will allow to characterise the anti-aliasing filters that
correctly adapt, and thus determine the specifications of the electronic circuit that should solve the outlined
problem.
Resumen:
El objetivo del presente trabajo es mostrar los adelantos en el desarrollo de un sistema de medida digital de
impedancia en tiempo real, para un sistema eléctrico monofásico a su frecuencia fundamental (50 Hz), realizán-
dose en esta primera etapa, según corresponde a la metodología de Codiseño Hardware / Software, el estudio del
problema, la simulación y el análisis de los algoritmos de sus partes fundamentales.
El resultado esperado del proyecto completo será la implementación de un Circuito Integrado, para su uso
como sistema embebido en el citado equipo.
El cálculo de la impedancia se basa en el cociente de los fasores de tensión y corriente a la frecuencia de-
seada y para tal fin se analizan los filt ros de Fourier, Coseno y Seno mostrándose el efecto que produce el ancho
de ventana de datos sobre los algoritmos presentados, en función de esos resultados se caracterizará a los filt ros
anti-aliasing que se adapten correctamente y así determinar las especificaciones del circuito electrónico que re-
suelva el problema planteado.
1. Introducción
Un sistema de medida de impedancia, correspondiente a una frecuencia determinada (50 Hz), tiene aplica-
ción en protecciones de sistemas eléctricos, las mismas tienen como objeto detectar las fallas de cortocircuitos y
separar a través de los interruptores correspondientes la parte del sistema fallado. El funcionamiento de estas
protecciones consiste en el cálculo de una impedancia aparente (proporcional a la distancia entre el punto de falla
y la ubicación de la protección), basado en un cociente entre tensión y corriente. La denominación de impedancia
aparente es debida a que esta no representa estrictamente la impedancia proporcional a la longitud de la línea al
punto en el que se produjo la falla, ya que en realidad el cálculo de la impedancia durante la falla no sólo depen-
de de la distancia de la línea al punto de falla, sino también de otros factores que afectan a la medición de dicha
distancia, tales como la resistencia de arco que se presenta durante la falla, diferencia de fase entre las fuerzas
electromotrices (FEM) de los generadores, como así también la existencia de otras fuentes de alimentación y car-
gas ubicadas entre la falla y la posición de la protección, entre otros.
2. Metodología del diseño del sistema. [13] y [14]
2.1. Etapas desarrolladas: Especificación del Sistema, Descripción Algorítmica y Simulación.
Se propone el esquema de la Fig. 1 para comenzar con el proceso de diseño del sistema embebido, con el
mismo se define el documento de requisitos: la funcionalidad del sistema y su interacción con el entorno, así
como otras características.
 filt ro filt ro
 (tensión) v analog. digital
 A/D Z
 filt ro filt ro
 (corriente) i analog. digital
Fig. 1
Con el objeto de extraer información, en tiempo real, de una componente de frecuencia determinada de una
señal inmersa en ruido (como es el caso de la componente fundamental del sistema eléctrico de 50 Hz, cuando se
produce una falla del mismo), y de esta manera poder identificar la impedancia correspondiente a esta frecuencia,
se realiza el diseño funcional a través de simulaciones en lenguaje de programación de alto nivel para dar como
resultado las especificaciones de los filt ros digitales, que se consideran el centro de atención del presente trabajo
y que determinarán las características del resto del circuito.
2.2. Etapas en desarrollo: Modularización y particionamiento
Con los resultados obtenidos se realizará la sintesis del sistema, teniendo en cuenta para el caso digital las
herramientas utili zadas son: el lenguaje C y el lenguaje de Descripción de Hardware (HDL), que generarán códi-
gos útiles para realizar prototipos con procesadores genéricos y/o con circuitos de lógica programable (FPLD o
FPGA) comerciales.
Para lograr el sistema completo quedarán los componentes microelectrónicos analógicos como ampli ficado-
res de instrumentación de entrada, filt ro anti-aliasing [15] y conversor analógico – digital.
3. Descripción de los algor itmos.
Para la obtención de la componente fundamental de las señales de entrada, se pueden utili zar distintos tipos
de algoritmos como pueden ser los de Fourier, Seno, Coseno, Walsh, CAL, SAL, Mínimos cuadrados, Kalman,
etc., [1]-[6].
Teniendo en cuenta que los filt ros de Fourier tienen una amplia difusión y han sido utili zados para la esti-
mación de parámetros en protecciones de impedancia, y el filt ro Coseno también ha encontrado aplicación en
relevadores de impedancia comerciales, en el presente trabajo se describen los filt ros de Fourier, Coseno y Seno
para determinar que características son deseables para su implementación electrónica .
Estos algoritmos de filt rado son de respuesta impulsional finita (FIR) y su implementación se basa en la
ecuación (1), de tal forma que si se consideran M muestras de la señal de entrada se puede escribir:
∑
−
=
−=
1
0
)()(
M
k
k knxbny (1)
donde: x(n) representa la señal de entrada de tensión o corriente, y(n) corresponde a la salida y kb es el conjunto
de coeficientes del filt ro y se corresponden con la respuesta impulsional del sistema. De tal manera que las ca-
racterísticas de este tipo de filt ros estará dada en función de la cantidad de coeficientes kb y de los valores asig-
nados a dichos coeficientes.
Un concepto básico importante en el filt rado digital es la ventana de datos, con la ecuación (1) se obtiene la
señal filt rada y(n) a partir de las últimas M muestras de la señal de entrada, lo que implica que la ventana de datos
es de M muestras y los filt ros analizados tienen M coeficientes kb . La ventana es deslizante, ya que cada vez que
entra una nueva muestra se descarta la más antigua y se calcula un nuevo valor de la señal de salida.
3.1. Algor itmo de Four ier.
La obtención de los coeficientes kb de (1) se basa en el análisis en frecuencia de la señal x(n), correspon-
diente a la señal ya muestreada de tensión o corriente. Esta señal x(n), se puede reconstruir por medio de su co-
rrespondiente transformada discreta de Fourier inversa (IDFT) (2).
1...,,2,1,0)(
1
)(
1
0
2 −== ∑
−
=
NnekX
N
nx
N
k
Nnkj π (2)
en la cual los valores de )(kX estarán dados por la transformada discreta deFourier (DFT) (3).
∑
−
=
−=
1
0
2)()(
N
n
NnkjenxkX π k = 0,1,2,…,N-1 (3)
Expandiendo la sumatoria dada en (2), agrupando términos y teniendo en cuenta que las señales muestreadas de
tensión y corriente son reales se llega a la ecuación (4).






+



 ++



 ++= �21
22
cos)2(2
2
cos)1(2)0(
1
)( δπδπ
N
n
X
N
n
XX
N
nx (4)
n = 0,1,2,…,N-1
en esta última �,, 21 δδ , son los ángulos de X(1), X(2), …, respectivamente.
Teniendo en cuenta que la longitud de ventana juega un papel importante en la respuesta de los filt ros a ser
analizados (Fourier, Coseno y Seno), para el estudio de su comportamiento se seleccionan cuatro ventanas dife-
rentes en longitud. Y considerando que estos filt ros encuentran aplicación en protecciones de impedancia cuyo
cálculo de la impedancia proporcional a la distancia eléctrica a la falla se realiza, en general, dentro de tiempos
que oscilan en el entorno de un ciclo de la frecuencia fundamental después de haberse producido la falla, las
ventanas seleccionadas son de 1, 1/2, 1 1/2 y 2 ciclos, correspondientes a la frecuencia fundamental del sistema
eléctrico.
Si la ventana es de 1 ciclo, y el número de muestras por ciclo es dado por cN en la ecuación (4) se tiene
que cNN = , y en función de que el número de muestras por ciclo se puede expresar como 0FFs (en donde
sF es la frecuencia de muestreo en [muestras/seg] y oF es la frecuencia fundamental de las señales de tensión o
corriente en [Hz] ), se llega a que el termino que reconstruye la componente de frecuencia fundamental de la se-
ñal x(n) esta dado por (5).




 += 1
2
cos
)1(2
)( δπ
s
o
c
o
F
nF
N
X
nx 1...,,2,1,0 −= cNn (5)
En función de que se pretende obtener el fasor (módulo y ángulo), de la componente fundamental presente
en la señal x(n) y considerando que )1(X y 1δ se obtienen a partir de la ecuación (3), se escriben las ecuacio-
nes (6) y (7) que darán las componentes de dicho fasor y serán las ecuaciones de las cuales se extraen los coefi-
cientes del filt ro correspondiente a la ecuación (1).
∑
−
=




=
1
0
2
cos)(
2
ˆ
cN
n cc
or
N
n
nx
N
x
π
 (6) ∑
−
=




−=
1
0
2
sen)(
2
ˆ
cN
n cc
oi
N
n
nx
N
x
π
 (7)
orx̂ Representa el valor pico de la componente real del fasor de frecuencia fundamental de la señal x(n).
oix̂ Representa el valor pico de la componente imaginaria del fasor de frecuencia fundamental de la señal x(n).
Cuando se consideran ventanas de datos de 1/2, 1 1/2 y 2 ciclos, las componentes real e imaginaria del fasor
orx̂ y oix̂ , estarán dadas por las ecuaciones siguientes:
Ventana de 1/2 ciclo:
∑
−
=




=
12
0
2
cos)(
4
ˆ
cN
n cc
or
N
n
nx
N
x
π
 (8) ∑
−
=




−=
12
0
2
sen)(
4
ˆ
cN
n cc
oi
N
n
nx
N
x
π
 (9)
Ventana de 1 1/2 ciclo:
∑
−
=




=
123
0
2
cos)(
3
4
ˆ
cN
n cc
or
N
n
nx
N
x
π
 (10) ∑
−
=




−=
123
0
2
sen)(
3
4
ˆ
cN
n cc
oi
N
n
nx
N
x
π
 (11)
Ventana de 2 ciclos:
∑
−
=




=
12
0
2
cos)(
1
ˆ
cN
n cc
or
N
n
nx
N
x
π
 (12) ∑
−
=




−=
12
0
2
sen)(
1
ˆ
cN
n cc
oi
N
n
nx
N
x
π
 (13)
La representación en forma polar del fasor correspondiente estará dada por las siguientes expresiones:
22 )ˆ()ˆ(ˆ oioro xxx += (14) 



=
or
oi
o
x
x
ˆ
ˆarctgϕ (15)
El fasor calculado tiene la magnitud correcta pero gira a medida que la ventana de datos se desplaza con
respecto a la señal, a razón de un ángulo θ que está dado por:
s
o
F
Fπθ 2= (16)
Esta rotación no afecta el correcto funcionamiento ya que el cálculo de la impedancia se basa en el cociente
de dos señales de entrada (tensión y corriente), de manera que el desplazamiento en ángulo se anula debido al
mencionado cociente.
Con el objeto de obtener la respuesta en frecuencia del filt ro de Fourier para las longitudes de ventanas de
datos analizadas se consideró una frecuencia de muestreo de 1600 muestras/seg ( cN =32). El hecho de conside-
rar una sola frecuencia de muestreo para realizar el análisis, se debe a que ésta incide fundamentalmente sobre la
resolución del algoritmo y el hardware, y no produce alteraciones importantes en las características de la res-
puesta en frecuencia. Se debe tener en cuenta que a medida que la frecuencia de muestreo se incrementa, los al-
goritmos de filt rado permiten discriminar frecuencias de orden más elevado y de esta manera bajar los requeri-
mientos del filt ro anti-aliasing. Los gráficos de las mencionadas respuestas en frecuencia son los de las Figs. 2 a
5.
0 100 200 300 400 500 600 700 800
0
0.5
1
1.5
2
2.5
Frecuencia [Hz]
M
ag
ni
tu
d
Fig. 2: Filtro Fourier, ventana de
1 ciclo.
0 100 200 300 400 500 600 700 800
0
0.5
1
1.5
2
2.5
Frecuencia [Hz]
M
ag
ni
tu
d
Fig. 3: Filtro Fourier, ventana de
1/2 ciclo.
0 100 200 300 400 500 600 700 800
0
0.5
1
1.5
2
2.5
Frecuencia [Hz]
M
ag
ni
tu
d
Fig. 4: Filtro Fourier, ventana de
1 1/2 ciclos.
0 100 200 300 400 500 600 700 800
0
0.5
1
1.5
2
2.5
Frecuencia [Hz]
M
ag
ni
tu
d
Fig. 5: Filtro Fourier, ventana de
2 ciclos.
En la Fig. 2 se puede observar que la respuesta en frecuencia de este tipo de filt ros presenta ceros en los
múltiplos enteros de frecuencia fundamental y para la componente de corriente continua, de modo que rechazara
todas estas componentes. De la Fig. 3 se desprende que este tipo de filt ros rechaza las armónicas impares, pero
no presenta ceros en las armónicas pares ni en frecuencia cero, por tal motivo la capacidad de rechazo de las fre-
cuencias pares y de la componente de continua, se ve disminuida. En la Fig. 4 correspondiente a ventana de da-
tos de 1 ½ ciclo se aprecia que estos filt ros presentan un rechazo para las componentes armónicas impares y para
una serie de interarmónicas, pero al no presentar ceros en las armónicas pares ni en frecuencia cero, en este tipo
de filt ros se disminuye la capacidad de rechazo de estas componentes de la señal. Por último en la Fig. 5 se puede
ver que la respuesta en frecuencia de este tipo de filt ros presenta ceros en los múltiplos enteros de frecuencia
fundamental (como sucedía en el caso de ventana de 1 ciclo), para la componente de corriente continua, y en fre-
cuencias interarmónicas también, se aprecia que el lóbulo principal es más estrecho que el correspondiente a las
ventanas anteriores, esto implica un mejor rechazo a las componentes subarmónicas.
3.2. Algor itmos Coseno y Seno.
En el filt ro de Fourier las componentes ortogonales del fasor de frecuencia fundamental, correspondiente a
la señal de entrada x(n), se obtienen mediante la combinación de un filt rado Seno y uno Coseno. Otra alternativa
para conseguir los fasores es obtener las componentes ortogonales de los mismos a través de desplazar un cuarto
de ciclo la salida de cualquiera de los filt ros sencill os mencionados anteriormente (Seno o Coseno).
En función de lo dicho, para un filt ro del tipo Coseno, el módulo y el ángulo del fasor de frecuencia funda-
mental correspondiente a la señal de entrada x(n) se obtienen mediante las expresiones (17) y (18).
2)4/(2)( ]ˆ[]ˆ[ˆ cNtortoro xxx −+= (17)





=
−
)(
)4/(
ˆ
ˆ
arctg
t
or
Nt
or
o
x
x cϕ (18)
En las ecuaciones (17) y (18) los valores de 
)(ˆ torx , para las distintas longitudes de ventana de datos, se ob-
tienen de las expresiones: (6) para ventana de 1 ciclo, (8) para ventana de medio ciclo, (10) para ventana de un
ciclo y medio y (12) para ventana de dos ciclos. Luego el valor de 
)4/(ˆ cNtorx − se logra desplazando un cuarto de
ciclo la señal de 
)(ˆ torx .
Para el filt ro tipo Seno, el módulo y el ángulo del fasor de frecuencia fundamental correspondiente a la se-
ñal de entrada x(n) se obtienen mediante las expresiones (19) y (20).
2)4/(2)( ]ˆ[]ˆ[ˆ cNtoitoio xxx −+= (19)




=
−
)(
)4/(
ˆ
ˆ
arctg
t
oi
Nt
oi
o
x
x cϕ (20)
En las ecuaciones (19) y (20) los valores de 
)(ˆ toix , para las distintas longitudes de ventana de datos, se ob-
tienen de las expresiones: (7) para ventana de 1 ciclo, (9) para ventana de medio ciclo, (11) para ventana de un
ciclo y medio y (13) para ventana de dos ciclos. La señal 
)4/(ˆ cNtoix − se logra desplazando )(ˆ toix un cuarto de ci-
clo.
En ambos casos, para filt ro Seno y Coseno, el fasor calculado es correcto en magnitud pero el mismo gira a
medida que la ventana de datos se desplaza con respecto a la señal, a razón de un ángulo θ que está dado por la
ecuación (16). Aunque como ya se mencionó anteriormente, esto no afecta el correcto funcionamiento en el cal-
culo de la impedancia.
La respuesta en frecuencia de un filt ro tipo Coseno y tipo Seno con ventanas rectangulares de datos corres-
pondiente a 1, 1/2, 1 1/2 y 2 ciclos se observan en las Figs. 6 a 13, para las cuales se consideró una frecuencia de
muestreo de 1600 muestras/seg. ( cN =32). El hecho de considerar una sola frecuencia de muestreo para realizar
el análisis, se debe a los mismos motivos que se mencionaron en el análisis del filt ro de Fourier.
0 100 200 300 400 500 600 700 800
0
0.2
0.4
0.6
0.8
1
1.2
Frecuencia [Hz]
M
ag
ni
tu
d
Fig. 6: Filtro Coseno, ventana de
1 ciclo
0 100 200 300 400 500 600 700 800
0
0.2
0.4
0.6
0.8
1
1.2
Frecuencia [Hz]
M
ag
ni
tu
d
Fig. 8: Filtro Coseno, ventana de
1/2 ciclo
0 100 200 300 400 500 600 700 800
0
0.2
0.4
0.6
0.8
1
1.2
Frecuencia [Hz]
M
ag
ni
tu
d
Fig. 10: Filtro Coseno, ventana
de 1 1/2 ciclos
0 100 200 300 400 500 600 700 800
0
0.2
0.4
0.6
0.8
1
1.2
Frecuencia [Hz]
M
ag
ni
tu
d
Fig. 12: Filtro Coseno, ventana
de 2 ciclos
0 100 200 300 400 500 600 700 800
0
0.2
0.4
0.6
0.8
1
1.2
Frecuencia [Hz]
M
ag
ni
tu
d
Fig. 7: Filtro Seno, ventana de 1
ciclo
0 100 200 300 400 500 600 700 800
0
0.2
0.4
0.6
0.8
1
1.2
1.4
Frecuencia [Hz]
M
ag
ni
tu
d
Fig. 9: Filtro Seno, ventana de
1/2 ciclo
0 100 200 300 400 500 600 700 800
0
0.2
0.4
0.6
0.8
1
1.2
Frecuencia [Hz]
M
ag
ni
tu
d
Fig. 11: Filtro Seno, ventana de
1 1/2 ciclos
0 100 200 300 400 500 600 700 800
0
0.2
0.4
0.6
0.8
1
1.2
Frecuencia [Hz]
M
ag
ni
tu
d
Fig. 13: Filtro Seno, ventana de
2 ciclos
Observando las Figs. 6 a 13 se obtienen similares conclusiones a las expresadas para los filt ros de Fourier
pero a diferencia de este último, la respuesta de este tipo de filt ros (Seno y Coseno) se retrasa un cuarto de ciclo
más que la longitud de ventana, o sea que para componer el fasor correspondiente de una señal x(n) se tiene que
esperar un ciclo y cuarto si la ventana utili zada es de un ciclo.
4. Fil tro analógico anti-aliasing.
De acuerdo con el teorema de muestreo y considerando a β como la mayor frecuencia que se encuentra pre-
sente en la señal analógica, para que no exista aliasing se debe cumpli r que β2≥sF .
Por lo mencionado anteriormente la frecuencia de corte del filt ro analógico anti-aliasing dependerá de la
frecuencia de muestreo que se utili ce, y la selección de los parámetros del mismo se tiene que realizar de tal ma-
nera que se pueda lograr una buena respuesta de estado estable sin sacrificar la respuesta de estado transitorio.
En general, si la banda de transición (que es la transición de la respuesta en frecuencia del filt ro analógico
pasa bajo, desde la banda de paso a la banda de rechazo), es muy estrecha, el retardo que se produce en el filt ro
analógico es elevado. Cuando estos algoritmos son utili zados en sistemas de protecciones de impedancia existe
consenso en distintos investigadores [1] y [7], en la conveniencia de utili zar filt ros activos de segundo orden,
fundamentalmente del tipo de Butterworth o Chebyshev. Teniendo en cuenta lo dicho y con el objeto de analizar
el efecto que produce el filt ro anti-aliasing sobre el filt ro digital se consideran los filt ros de Butterworth y Cheby-
shev de 2° orden.
4.1. Fil tro de Butterwor th.
La respuesta en frecuencia de este tipo de filt ros es monótona tanto en la banda de paso como en la banda
de rechazo. Para el caso de los filt ros de segundo orden su respuesta en frecuencia para frecuencias de corte de
200, 400, 600 y 800 Hz se observan en la Fig. 14. Con el objeto de determinar algunas características transitorias
de los filt ros mencionados anteriormente se le ingresa un escalón unitario y se analiza la salida, obteniéndose los
resultados que se observan en la Fig. 15.
0 200 400 600 800 1000 1200 1400
0
0.2
0.4
0.6
0.8
1
Frecuencia [Hz]
M
ag
ni
tu
d
200 Hz 
400 Hz 600 Hz 
800 Hz 
Fig. 14: Filtro de Butterworth.
0 0.002 0.004 0.006 0.008 0.01
0
0.2
0.4
0.6
0.8
1
1.2
Tiempo [seg]
M
ag
ni
tu
d
200 Hz 
400 Hz 
600 Hz 
800 Hz 
Fig. 15: Filtro de Butterworth.
4.2. Fil tro de Chebyshev.
Estos tipos de filt ros se dividen en dos clases, los que corresponden al tipo I, que tienen un comportamiento
de rizado constante en la banda de paso y una característica monótona en la banda de rechazo y los filt ros Cheby-
shev tipo II , que presentan un comportamiento monótono en la banda de paso y un rizado constante en la banda
de rechazo.
En el filt ro Chebyshev tipo I, considerando los filt ros de 2° orden, teniendo en cuenta un rizado en la banda
de paso que no supere los 0.5 dB y utili zando como ejemplo frecuencias de corte de la banda de paso de 200 Hz,
400 Hz, 600 Hz y 800 Hz, en la Fig. 16 se pueden observar las correspondientes respuestas en frecuencia. Con el
objeto de analizar la respuesta transitoria de los filt ros citados anteriormente, se le ingresa un escalón unitario y
se analiza la salida a través de la Fig. 17, en la cual se observa la respuesta de los filt ros de Chebyshev tipo I, con
distintas frecuencias de corte de la banda de paso.
Para el caso de filt ros Chebyshev tipo II , considerando filt ros de 2° orden, teniendo en cuenta un rizado en
la banda de rechazo que no sea inferior a 20 dB y tomando como ejemplo frecuencias de corte de la banda de re-
chazo de 600 Hz, 800 Hz, 1000 Hz y 1200 Hz, en la Fig. 18 se pueden observar las respuestas en frecuencia. El
análisis de la respuesta transitoria de los filt ros citados anteriormente, se realiza ingresando un escalón unitario, y
observando la salida en la Fig. 19.
0 200 400 600 800 1000 1200 1400
0
0.2
0.4
0.6
0.8
1
Frecuencia [Hz]
M
ag
ni
tu
d
200 Hz 
400 Hz 
600 Hz 
800 Hz 
Fig. 16: Filtro Chebyshev tipo I
0 0.002 0.004 0.006 0.008 0.01
0
0.2
0.4
0.6
0.8
1
1.2
Tiempo [seg]
M
ag
ni
tu
d
200 Hz 
400 Hz 
600 Hz 
800 Hz 
Fig. 17: Filtro Chebyshev tipo I
0 200 400 600 800 1000 1200 1400
0
0.2
0.4
0.6
0.8
1
Frecuencia [Hz]
M
ag
ni
tu
d
600 Hz 
800 Hz 
1000 Hz 
1200 Hz 
Fig. 18: Filtro Chebyshev tipo II
0 0.002 0.004 0.006 0.008 0.01
0
0.2
0.4
0.6
0.8
1
1.2
Tiempo [seg]
M
ag
ni
tu
d
600 Hz 
800 Hz 
1000 Hz 
1200 Hz 
Fig. 19: Filtro Chebyshev tipo II
4.3. Efecto del fil tro analógico anti-aliasing sobre la respuesta en frecuencia del fil tro digital.
Considerando el análisis de los filt ros analógicos anti-aliasing realizado anteriormente, de las Figs. 14, 16 y
18, que muestran las respectivas respuestas en frecuencia para distintas frecuencias de corte, se desprende que se-
ría conveniente utili zar el filt ro analógico de frecuencia de corte más baja, sin que éste produzca distorsión al ló-
bulo principal de la respuesta en frecuencia del algoritmo digital (ya que si esto ocurre, se produciría una atenua-
ción en la señal correspondiente a la componente en frecuencia que se desea obtener), teniendo en cuenta lo di-
cho, cuanto menor es la frecuencia de corte del filt ro, mayor es la atenuación en las frecuencias superiores a la
fundamental y por consiguiente se mejora la respuesta del conjunto filt ro analógico anti-aliasing y filt ro digital,
ya que se produce una atenuación de los lóbulos laterales.
De la observaciónde las Figs. 15, 17 y 19 que dan idea del comportamiento transitorio de los filt ros analó-
gicos analizados, se desprende que sería conveniente utili zar un filt ro anti-aliasing cuya frecuencia de corte sea lo
más alta posible ya que el tiempo de retardo disminuye con el incremento de la frecuencia de corte.
Las dos conclusiones mencionadas anteriormente se contraponen, lo que lleva a tomar una decisión que
responda bien en lo que se refiere a régimen estable, pero sin que perjudique las características transitorias.
Para visualizar el efecto del filt ro anti-aliasing sobre la respuesta en estado estable de los algoritmos digita-
les, se realiza la representación gráfica del producto de las respuestas en frecuencia de ambos filt ros:
)()()( ωωω daT HHH = (21)
donde Ha(ω) es la respuesta en frecuencia del filt ro analógico anti-aliasing y Hd(ω) es la respuesta en frecuencia
del filt ro digital.
Considerando los algoritmos digitales Fourier, Coseno y Seno, con ventana de datos de un ciclo, con fre-
cuencia de muestreo de 1600 muestras/seg ( cN =32 muestras/ciclo) y la utili zación de un filt ro anti-aliasing de
Butterworth de 2° orden, con frecuencia de corte de 400 Hz, el cual se considera apropiado para esta situación,
en las Figs. 20, 21 y 22 se pueden observar las respuestas en frecuencia del conjunto.
0 100 200 300 400 500 600 700 800
0
0.5
1
1.5
2
Frecuencia [Hz]
M
ag
ni
tu
d
Rta. del filtro digital sin filtro anti-aliasing 
Rta. del filtro digital con filtro anti-aliasing 
Fig. 20: Algoritmo de Fourier.
0 100 200 300 400 500 600 700 800
0
0.2
0.4
0.6
0.8
1
1.2
Frecuencia [Hz]
M
ag
ni
tu
d
Rta. del filtro digital sin filtro anti-aliasing 
Rta. del filtro digital con filtro anti-aliasing 
Fig. 21: Algoritmo Coseno.
0 100 200 300 400 500 600 700 800
0
0.2
0.4
0.6
0.8
1
1.2
Frecuencia [Hz]
M
ag
ni
tu
d
Fig. 22: Algoritmo Seno.
En estas últimas Figuras se puede ver el efecto de atenuación sobre los lóbulos laterales que provoca el fil -
tro analógico, sobre la respuesta en frecuencia de los algoritmos digitales. En la Fig. 22 no se aprecia claramente
el efecto del filt ro analógico sobre el digital, porque la respuesta en frecuencia del filt ro tipo Seno ya de por sí
presenta lóbulos laterales relativamente pequeños, de modo que presenta buena atenuación para las frecuencias
altas.
5. Cálculo de impedancia con los fil tros de Four ier, Coseno y Seno cuando se le aplican señales distorsio-
nadas.
Con el objeto de mostrar el comportamiento de algunos de los algoritmos digitales de Fourier, Coseno y
Seno ante una señal distorsionada se realiza el cálculo de la impedancia cuando se ingresan las señales de tensión
y corrientes mostradas en las Figs. 23 y 24. Es de notar que en este caso, la impedancia correspondiente a la fre-
cuencia fundamental es (0.866+j0.5) pu.
0 0.02 0.04 0.06 0.08 0.1 0.12 0.14
-1.5
-1
-0.5
0
0.5
1
1.5
Tiempo [seg]
M
ag
ni
tu
d
 [p
u]
Fig. 23: Señal de tensión
0 0.02 0.04 0.06 0.08 0.1 0.12 0.14
-1
-0.5
0
0.5
1
1.5
2
Tiempo [seg]
M
ag
ni
tu
d 
[p
u]
Fig. 24: Señal de corriente
Para este ejemplo se consideran los algoritmos digitales de Fourier, Coseno y Seno, con ventana de dato de
1 ciclo, la componente de las señales que se desea extraer son de 50 Hz, frecuencia de muestreo de 1600 mues-
tras/seg ( cN =32 muestras/ciclo), y se utili za un filt ro anti-aliasing de Butterworth de 2° orden, con frecuencia de
corte en 400 Hz, el cual se adapta correctamente para esta situación. Los resultados se muestran en las Figs. 25 a
27, y el número colocado en los puntos calculados de estas figuras representa el número de cálculo que arroja el
algoritmo desde que la ventana de datos ingresa al punto de discontinuidad, que se observa en la corriente (Fig.
24), de modo que el punto con denominación 1 correspondería al primer punto de impedancia calculado cuando
se hace presente el primer valor de corriente distinto de cero.
Es de considerar que cuando la ventana de datos se encuentra pasando a través de un punto de discontinui-
dad presente en la onda de tensión y/o corriente (en el caso de un sistema de protecciones este punto de disconti-
nuidad puede ser el provocado por un cortocircuito en el sistema eléctrico), estos algoritmos arrojan una serie de
valores que no representan la variación de impedancia real que se produce en el sistema, estos valores calculados
en esta última condición dependen de las características de las señales de entrada y del tipo de algoritmo utili za-
do.
0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 1.3 1.4 1.5
-1
-0.75
-0.5
-0.25
0
0.25
0.5
0.75
1
Parte Real de Z [pu]
P
ar
te
 Im
ag
in
ar
ia
 d
e 
Z
 [p
u]
15 
16 
17 
Fig. 25: Filtro Fourier, ventana 1 ciclo.
0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 1.3 1.4 1.5
-1
-0.75
-0.5
-0.25
0
0.25
0.5
0.75
1
Parte Real de Z [pu]
P
ar
te
 I
m
ag
in
ar
ia
 d
e 
Z
 [p
u]
27 
28 29 
Fig. 26: Filtro Coseno, ventana 1 ciclo.
0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 1.3 1.4 1.5
-1
-0.75
-0.5
-0.25
0
0.25
0.5
0.75
1
Parte Real de Z [pu]
P
ar
te
 I
m
ag
in
ar
ia
 d
e 
Z
 [p
u]
18 
19 
20 
Fig. 27: Filtro Seno, ventana 1 ciclo.
Los efectos que provocan las funciones clásicas de ponderación de ventana [9]-[10], consisten en aumentar
el ancho del lóbulo principal de la característica de respuesta en frecuencia del algoritmo de filt rado y reducir las
amplitudes de los lóbulos laterales. El ensanchamiento del lóbulo principal hace que por lo general se pierdan los
ceros de las características para frecuencia igual a cero y para la segunda armónica, y por ejemplo de acuerdo con
lo establecido en [8] la utili zación de las funciones de ponderación de ventana, no provocan mejoras considera-
bles en los filt ros analizados destinados a sistemas de protecciones de impedancia.
6. Conclusiones
Del análisis de la simulación realizado de los filt ros digitales Fourier, Seno y Coseno para ser aplicados en
protecciones de impedancia, y teniendo en cuenta que la capacidad de rechazo de las frecuencias de ruido que
contaminan las señales de entrada dependen de la longitud de ventana, de los gráficos de respuesta en frecuencia
(Figs. 2 a 13), se desprende que los de mejor comportamiento son aquellos que tienen ventanas de datos de uno
y dos ciclos. Pero como un requerimiento importante en una protección de impedancia es la velocidad de opera-
ción, o sea disminuir el retardo de tiempo en su respuesta final, es que desde el punto de vista de funcionamiento
estable es aconsejable la ventana de un ciclo para este tipo de equipos.
Las Figuras 14, 16 y 18, muestran respuestas en frecuencia de distintos filt ros analógicos anti-aliasing, de
éstas se desprende que sería conveniente utili zar el filt ro analógico de frecuencia de corte más baja, (sin que éste
produzca distorsión al lóbulo principal de la respuesta en frecuencia del algoritmo digital), ya que cuanto menor
es la frecuencia de corte del filt ro, mayor es la atenuación en las frecuencias superiores a la fundamental, y por
consiguiente se mejora la respuesta del conjunto filt ro analógico anti-aliasing y filt ro digital, debido a que se
produce una atenuación de los lóbulos laterales. De la observación de las Figs. 15, 17 y 19 que dan idea del com-
portamiento transitorio de los filt ros analógicos presentados, se desprende que sería conveniente utili zar un filt ro
anti-aliasing cuya frecuencia de corte sea lo más alta posible ya que el tiempo de retardo disminuye con el incre-
mento de la frecuencia de corte. Las dos conclusiones mencionadas anteriormente se contraponen, lo que lleva a
tomar una decisión de compromiso, de tal manera que el filt ro analógico anti-aliasing responda bien en lo que se
refiere a régimen estable, pero sin que perjudique las características transitorias.
Por lo tanto, se pueden determinar como especificaciones para los siguientes pasos del diseño una frecuen-
cia de muestreo de 1600 muestras/ seg. ( cN =32 muestras / ciclo), con la utili zación de un filt ro anti-aliasing de
Butterworth de 2° orden, con frecuencia de corte de 400 Hz, y la implementación de los filt ros con una ventana
de 1 ciclo de la frecuencia fundamental del sistema eléctrico.
Hasta aquí se estudio y analizó el problema, se realizo un modelo del sistema de las partes críticas (filt ros)
y se determinaron las condiciones para los mismos.
Como se ha dicho se estudia la modularización y particionamiento del circuito digital y la caracterización
de los componentes analógicos del mismo.
7. Referencias
[1] Arun G. Phadke and James S. Thorp, Computer Relaying for Power Systems, Research Studies Press LTD.,
1988.
[2] Díaz I., Altuve H., Vázquez E., "Evaluación de filt ros digitales de Fourier, seno y coseno para protección de
distancia," Memorias del V Seminario Técnico de Protección y Control, Curitiba, Paraná, Brasil , 28 de
Agosto al 1 de septiembre de 1995.
[3] H. J. Altuve, I. Diaz and E. Vazquez, "Fourier and Walsh digital filtering algorithms for distance protection,"
Proceedings of the 1995 IEEE Power Industry Computer Applications Conference, Salt Lake City, Utah.
May 1995, pp. 423-428.
[4] J.W. Horton, "The use of Walsh functions for high speed digital relaying," IEEE Publication No. 75CH1034-
8 PWR, Paper No. A75 582 7, IEEE PES Summer Meeting, San Francisco, pp. 1-9, July 1975.
[5] Altuve H., Posadas D., Diaz I., Vázquez E., Chacón O., "Evaluación del filt ro digital de mínimos cuadrados
para su aplicación en protección de distancia," Memorias del V Seminario Técnico de Protección y Control,
Curitiba, Paraná, Brasil , 28 de Agosto al 1 de septiembre de 1995.
[6] A.A. Girgis and R. Grover. Brown. "Application of Kalman Filtering in Computer Relaying," IEEE Transac-
tions on Power Apparatus and Systems, Vol. PAS-100, No 7, July 1981, pp. 3387-3397.
[7] Díaz I., Altuve H., Vázquez E., "Estudio comparativo de filt ros analógicos pasabajos para relevadores digi-
tales de distancia," VIII Reunión de Verano de Potencia del IEEE Sección México, Acapulco, Gro., Julio de
1995.
[8] Altuve H., Vázquez E., Lorenzo J., Martínez A., "Efecto de las funciones de ponderación de ventana sobre
los filt ros digitales para relevadores de distancia," Memoria Técnica del II Simpocio Iberoamericano sobre
Protección de Sistemas Eléctricos de Potencia, Monterrey, N.L., Noviembre de 1993, pp. 215-224.
[9] John G. Proakis y.Dimitris G. Manolakis, Tratamiento digital de señales, Prentice-Hall , 1998.
[10] Alan V. Oppenheim and Ronald W. Schafer, Discrete-Time Signal Processing, Prentice-Hall , 1989.
[11] Alan V. Oppenheim y Alan S. Will sky, Señales y Sistemas, Prentice-Hall Hispanoamericana, 1983.
[12] Ramón Pallás Areny, Adquisición y Distribución de Señales, Marcombo, 1993.
[13] Wolf, Wayne. "Hardware-Software Co-Design of Embedded Systems". Proceedings of the IEEE, Vol. 82,
No 7, July 1994, pp. 967-989.
[14] Antonio García Rozo (compilador), Sistemas Digitales, CYTED, Colombia, 1999.
[15] Ceballos J. L., Villl agarcia H., Quijano A. A., "Filt ros de anti-alias y de suavizado para sistemas de capaci-
dades conmutadas," VI Workshop IBERCHIP, San Pablo, Brasil , Marzo de 2000.
[16] Pascual H. O., Comportamiento estable y transitorio de los algoritmos de Fourier, Coseno y Seno para ser
usados en protecciones digitales de impedancia, considerando el efecto que provoca la saturación de un
trasductor magnético de corr iente, Informe Técnico N°: 4.00, Rev: 0, Dto. Electrotecnia, Facultad de Inge-
niería, UNLP, Septiembre de 2000.

Continuar navegando