Logo Studenta

Electronica_Digital

¡Este material tiene más páginas!

Vista previa del material en texto

INGENIERÍA EN SISTEMAS COMPUTACIONALES
Circuitos Eléctricos y Aplicaciones Digitales
Electrónica Digital
Samuel Azpeitia Reyna
FECHA: 6 de Abril de 2018
Electrónica Digital
Tablas de Verdad y Compuertas Lógicas
Una manera generalizada de representar las funciones lógicas es el uso de símbolos o bloques lógicos denominados puertas o compuertas lógicas. Estas puertas en general representan bloques funcionales que reciben un conjunto de entradas (variables independientes) y producen una salida (variable dependiente).
Una de las ventaja de usar éstos símbolos es que por ser una representación entrada/salida permiten la “interconexión” de puertas (la salida de una con la entrada de otra) para representar funciones más complejas a partir de funciones sencillas. Otra ventaja es el hecho de que los bloques sencillos (puertas con pocas entradas) se encuentran disponibles en circuitos integrados comerciales, de aquí que un diagrama de puertas lógicas corresponde directamente a un diagrama de alambrado de circuito lógico. (Trujillo, 2011)
NOT, OR, y AND
La salida de una compuerta AND es 1 solamente si todas sus entradas son simultáneamente 1, de lo contrario es 0.
Un inversor es una puerta de solamente una entrada y su salida es el complemento lógico de la entrada. Es decir, cuando a la entrada de una puerta NOT hay un 1 su salida será 0, y de lo contrario, cuando su entrada es 0, su salida será 1.
La salida de una compuerta OR es 1 solamente si todas sus entradas son simultáneamente 0, de lo contrario es 1.
Otras: NOR, NAND y XOR
La puerta NAND es una función lógica compuesta. Se puede visualizar como una compuerta AND seguida por una compuerta NOT y su salida es 0 sólo cuando todas sus entradas son simultáneamente 1.
Esta Compuerta es una combinación de las funciones de un operador OR seguido por un inversor. La salida de una puerta NOR sólo será 1 cuando ambas entradas valgan 0.
La puerta XOR es una puerta lógica digital que implementa el o exclusivo; es decir, una salida verdadera resulta si una, y solo una de las entradas a la puerta es verdadera. La salida de una puerta XOR será 1 si sus entradas son diferentes y será 0 si son iguales.
Expresiones Booleanas
El álgebra booleana es un sistema matemático deductivo centrado en los valores cero y uno (falso y verdadero). Un operador binario definido en éste juego de valores acepta un par de entradas y produce un solo valor booleano, por ejemplo, el operador booleano AND acepta dos entradas booleanas y produce una sola salida booleana. 
Para nuestros propósitos basaremos el álgebra booleana en el siguiente juego de operadores y valores:
· Los dos posibles valores en el sistema booleano son cero y uno, a menudo llamaremos a éstos valores respectivamente como falso y verdadero.
· El símbolo " · " representa la operación lógica AND. Cuando se utilicen nombres de variables de una sola letra se eliminará el símbolo " · ", por lo tanto AB representa la operación lógica AND entre las variables A y B, a esto también le llamamos el producto entre A y B.
· El símbolo "+" representa la operación lógica OR, decimos que A+B es la operación lógica OR entre A y B, también llamada la suma de A y B.
· El complemento lógico, negación o NOT es un operador unitario, usualmente se utiliza el símbolo " ' " para denotar la negación lógica, por ejemplo, A' denota la operación lógica NOT de A.
Diseño de Circuitos Combinacionales
Un circuito combinacional es un sistema que contiene operaciones booleanas básicas (AND, OR, NOT), algunas entradas y un juego de salidas. Como cada salida corresponde a una función lógica individual, un circuito combinacional a menudo implementa varias funciones booleanas diferente. Es muy importante recordar éste hecho, cada salida representa una función booleana diferente. (Octavio, 2018)
Metodología de Diseño
El método de diseño consiste en los siguientes pasos a seguir:
1. Establecer los datos o condiciones de diseño.
2. Formar la correspondiente tabla de verdad, reflejando las condiciones que debe satisfacer el circuito.
3. Obtener la función o funciones lógicas de salida, en expresión algebraica, como sumatorio de mini-términos o como producto de maxi-términos.
4. Aplicar los oportunos métodos de simplificación para minimizar la complejidad estructural del circuito.
5. Obtener la función o funciones de salidas definitivas, resultantes del proceso de simplificación.
6. Construir el circuito con puertas lógicas y/o a partir de sistemas combinacionales.
Mini-términos y Maxi-términos
Se conoce como término canónico de una función lógica a todo producto o suma en la cual aparecen todas las variables en su forma directa o inversa. Una Función lógica que está compuesta por operador lógico puede ser expresada en forma canónica usando los conceptos de minterm y maxterm. Todas las funciones lógicas son expresables en forma canónica, tanto como una "suma de minterms" como "producto de maxterms". Esto permite un mejor análisis para la simplificación de dichas funciones, lo que es de gran importancia para la minimización de circuitos digitales.
Para una función booleana de n variables, un producto booleano en el que cada una de las n variables aparece una sola vez (negada o sin negar) es llamado minitérmino. Es decir, un mini-término es una expresión lógica de n variables consistente únicamente en el operador conjunción lógica (AND) y el operador complemento o negación (NOT).
Un maxi-término es una expresión lógica de n variables que consiste únicamente en la disyunción lógica y el operador complemento o negación. Los maxterms son una expresión dual de los mini-términos. En vez de usar operaciones AND se utiliza operaciones OR y se procede de forma similar. (Salas, 2015)
Técnicas de Simplificación
Las dos técnicas usadas para simplificar funciones booleanas son los mapas de Karnaugh y el método de Quine-McCluskey, siendo el segundo una versión mejorada del primero.
El estudio del método de McCluskey-Quine es funcionalmente idéntico al mapa de Karnaugh pero con una forma tabular que hace más accesible su implementación en programas de computadoras. El mapa de Karnaugh por ser un método más visual no se presta para la elaboración de un programa de computadoras que busque el diseño óptimo sin intervención humana. En este sentido, el método de Quine siempre garantiza la obtención del diseño más económico posible. Por otra parte, el método de Quine nos lleva a definir de manera más práctica lo que es un implicante primo; esta es, obtenido un implicante primario no es posible remover ninguna de las variables en dicho implicante sin que pierda su relevancia; el hecho de que un implicante no sea un implicante primario tiene que ver directamente con una simplificación booleana realizada en estudios anteriores.
El método de Quine nos proporciona mayor seguridad en el resultado dado, puesto que no tiene formas en que el método falle, si es implementado como un algoritmo en un programa de computación. Y, si es utilizado por humanos, el método nos ofrece una herramienta de verificación por el cual, se puede corregir cualquier falla humana al aplicar el método; quien es nuestra mejor herramienta una vez comparado con el método de Karnaugh. (León, 2013)
Teoremas y Postulados del Álgebra de Boole
Utilizaremos los siguientes postulados:
1. El álgebra booleana es cerrada bajo las operaciones AND, OR y NOT
2. El elemento de identidad con respecto a · es uno y con respecto a + es cero. No existe elemento de identidad para el operador NOT
3. Los operadores · y + son conmutativos.
4. · y + son distributivos uno con respecto al otro, esto es, A · (B+C) = (A·B)+(A·C) y A+ (B·C) = (A+B) ·(A+C).
5. Para cada valor A existe un valor A' tal que A·A' = 0 y A+A' = 1. Éste valor es el complemento lógico de A.
6. · y + son ambos asociativos, esto es, (AB) C = A (BC) y (A+B)+C = A+ (B+C).
Es posible probar todos los teoremas del álgebra booleana utilizando éstos postulados, además es buena idea familiarizarse con algunos de los teoremas más importantes de los cualespodemos mencionar los siguientes: (Arroyo, 2003)
· Teorema 1: A + A = A
· Teorema 2: A · A = A
· Teorema 3: A + 0 = A
· Teorema 4: A · 1 = A
· Teorema 5: A · 0 = 0
· Teorema 6: A + 1 = 1
· Teorema 7: (A + B)' = A' · B'
· Teorema 8: (A · B)' = A' + B'
· Teorema 9: A + A · B = A
· Teorema 10: A · (A + B) = A
· Teorema 11: A + A'B = A + B
· Teorema 12: A' · (A + B') = A'B'
· Teorema 13: AB + AB' = A
· Teorema 14: (A' + B') · (A' + B) = A'
· Teorema 15: A + A' = 1
· Teorema 16: A · A' = 0
Características:
Un álgebra de Boole es un conjunto en el que destacan las siguientes características:
1. Se han definido dos funciones binarias (que necesitan dos parámetros) que llamaremos aditiva (que representaremos por x+y) y multiplicativa (que representaremos por xy) y una función monaria (de un solo parámetro) que representaremos por x'.
2. Se han definido dos elementos (que designaremos por 0 y 1).
3. Tiene las siguientes propiedades:
· Conmutativa respecto a la primera función: x + y = y + x
· Conmutativa respecto a la segunda función: xy = yx
· Asociativa respecto a la primera función: (x + y) + z = x + (y +z)
· Asociativa respecto a la segunda función: (xy)z = x(yz)
· Distributiva respecto a la primera función: (x +y)z = xz + yz 
· Distributiva respecto a la segunda función: (xy) + z = (x + z)( y + z)
· Identidad respecto a la primera función: x + 0 = x
· Identidad respecto a la segunda función: x1 = x
· Complemento respecto a la primera función: x + x' = 1
· Complemento respecto a la segunda función: xx' = 0
Propiedades Del Álgebra De Boole
· Idempotente respecto a la primera función: x + x = x
· Idempotente respecto a la segunda función: xx = x
· Maximalidad del 1: x + 1 = 1
· Minimalidad del 0: x0 = 0
· Involución: x'' = x
· Inmersión respecto a la primera función: x + (xy) = x
· Inmersión respecto a la segunda función: x(x + y) = x
· Ley de Morgan respecto a la primera función: (x + y)' = x'y'
· Ley de Morgan respecto a la segunda función: (xy)' = x' + y'
Mapas Karnaugh
Es un método gráfico que se utiliza para simplificar una ecuación lógica para convertir una tabla de verdad a su circuito lógico correspondiente en un proceso simple y ordenado. Aunque un mapa de Karnaugh se puede utilizar para resolver problemas con cualquier número de variables de entrada, su utilidad práctica se limita a seis variables.
Cuando agrupamos los “1” hay que tener en cuenta:
· Debemos utilizar todos los unos del mapa.
· Hay que crear el menor número de grupos incluyendo todos los unos.
· Los unos pueden estar en varios grupos.
· El número de unos dentro de un grupo debe ser cualquier potencia de 2.
· Cuanto más grande sea un grupo, la simplificación de la función será mejor.
· Los grupos pueden ser de diferentes tamaños, siempre potencia de 2.
Al hacer la simplificación agrupando unos se dice que estamos obteniendo la suma de productos la utilizada en los ejemplos, y si escogemos los ceros se convierte en un producto de sumas. En el mapa de Karnaugh se muestran todos los posibles valores de la salida para cada combinación posible de las entradas, en cada celda de la tabla se representa un valor binario de las entradas. Utilizar más de 5 variables resulta más compleja la simplificación hallando los grupos de unos, y puede derivar en errores. Las celdas de un mapa de Karnaugh se disponen de manera que entre dos celdas adyacentes sólo cambie el valor de una única variable. Cada celda es adyacente a las que están situadas inmediatamente junto a cualquiera de sus cuatro lados excluyendo las diagonales.
Una vez tengamos resuelto el mapa de Karnaugh podemos implementar la función minimizada con puertas AND y OR para llevarla a la práctica. (Valenzuela, 2016)
Implementación y Aplicación de Circuitos Combinacionales
Los circuitos combinacionales llevan ese nombre porque el estado se sus salidas depende única y exclusivamente de la combinación que toman sus variables de entrada, sin que importen los estados anteriores de las variables ni el tiempo. Existen una serie de circuitos combinacionales que son muy comunes y aparecen o bien aisladamente o formando parte de otros circuitos más complejos de aplicación general, que se repiten un número de veces tan considerable que se hace aconsejable su fabricación en serie, los cuales son los siguientes:
Codificadores
Un codificador es un circuito combinacional integrado que tiene hasta 2n entradas y n salidas y la función que desempeña es mostrar en la salida la combinación correspondiente al código binario de la entrada activada.
Decodificadores
Son circuitos combinacionales integrados que disponen de n entradas y un número de salidas igual o menor a 2n, actúan de modo que según cual sea la combinación de las variables de entrada se activa una única salida, permaneciendo el resto de ellas desactivada.
Suelen disponer de una entrada adicional denominada de inhibición o estrobo de modo que cuando esta entrada se encuentra activada, pone todas las salidas a 0.
Los decodificadores pueden ser de dos tipos:
· No Excitadores: Se denominan así a un tipo de decodificadores cuyas salidas solo pueden acoplarse a otros circuitos digitales de la misma familia integrada, ya que dan una corriente muy pequeña en dichas salidas, incapaz de activar ningún otro componente.
· Excitadores: Son aquellos cuyas salidas dan suficiente corriente como para atacar, no solo a otros circuitos integrados de la misma familia, sino también a otros dispositivos, tales como displays, lámparas, relés, transductores, etc.
Convertidores de código
Son circuitos combinacionales cuya función es cambiar los datos de un código binario a otro, esto es así porque para determinadas operaciones de transmisión y procesamiento de información son más eficaces unos códigos que otros. Se suelen implementar mediante dispositivos lógicos programables.
Multiplexores
El multiplexor es el circuito lógico combinacional equivalente a un interruptor mecánico giratorio de varias posiciones, tal como el componente que sirve para seleccionar las bandas de un receptor de radio. Permite dirigir la información binaria procedente de diversas fuentes a una única línea de salida, para ser transmitida a través de ella, a un destino común.
Disponen de hasta 2n líneas de entrada de datos, una única de salida y n entradas de selección, que habilitan y ponen en contacto uno de los terminales de entrada de datos con el de salida. El circuito combinacional integrado multiplexor, suele tener: 8 entradas de datos (bits), 3 entradas de selección (address) y una única salida de datos.
De-multiplexores
Son circuitos integrados combinacionales que realizan la función opuesta a un multiplexor. Es decir tiene una única entrada de datos, n entradas de selección y un números de salidas <2n, de modo que según introduzcamos una combinación u otra por las entradas de selección, así conseguimos comunicar la entrada de datos con la salida seleccionada.
Comparadores
Son circuitos integrados combinacionales con uno o más pares de entradas que tienen como función comparar dos magnitudes binarias para determinar su relación.
Muchos comparadores poseen además de la salida de igualdad, dos salidas más que indican cuál de los números colocados a la entrada es mayor (M) que el otro, o bien es menor (m) que el otro.
Sumadores
Un sumador es un circuito que realiza la suma de dos palabras binarias. Es distinta de la operación OR, con la que no nos debemos confundir. La operación suma de números binarios tiene la misma mecánica que la de números decimales.
Semisumador
Es un dispositivo capaz de sumar dos bits y dar como resultado la suma de ambos y el acarreo.
Sumador Completo
Presenta tres entradas, dos correspondientes a los dos bits que se van a sumar y una tercera con el acarreo de la suma anterior. Y tiene dos salidas, el resultado de la suma y el acarreo producido.
Detectores/Generadores de Paridad
Los circuitos electrónicos digitales se basan en la transmisión y el procesamiento de información, lo que hace necesario verificar que la información recibida es igual a la emitida; nosuelen producirse errores, por lo que cuando ocurren en la mayoría de los casos el error en la transmisión se produce en un único bit. Los generadores de paridad par son aquellos circuitos que generan un 0 cuando el número de 1 en la entrada es par y un 1 cuando es impar, en el caso de dos bit. (Cuevas, 2014)
Lógica Secuencial
Un sistema secuencial es un sistema automático que recibe el nombre de autómata finito debido a que posee un número finito de estados internos. La celda secuencial básica es el flip-flop, que está formado por un ensamble de compuertas lógicas. Aunque una compuerta lógica, por si misma, no tiene la capacidad de almacenamiento, pueden conectarse varias de ellas de manera que permitan almacenar información. Existen varias configuraciones de compuertas que se utilizan para producir estos flip-flops.
Los sistemas secuenciales son capaces de memorizar el estado de las entradas y convertirlo en un estado interno del propio sistema. Así, el valor de la salida en un instante determinado no depende solamente del estado de las entradas en dicho instante, sino también del estado interno. Surgen de los sistemas combinacionales el cual no permite, por sí solos, resolver el problema de almacenar el estado de las entradas en un instante dado y poder utilizarlo para tomar decisiones posteriormente, cuando cambie el estado de aquellas. Si al sistema combinacional se le agrega retroalimentación, el sistema se convierte en secuencial. (Cauich, 2014)
FLIP-FLOP con Compuertas
Todos los circuitos digitales utilizan datos binarios para funcionar correctamente, los circuitos están diseñados para contar, sumar, separar, etc. los datos según nuestras necesidades, pero por el tipo de funcionamiento de las compuertas digitales, los datos presentes en las salidas de las mismas, cambian de acuerdo con sus entradas, y no hay manera de evitarlo. 
Si las entradas cambian, las salidas lo harán también, entonces ¿cómo podemos hacer para mantener un dato o serie de datos en un lugar hasta que los necesitemos? La respuesta son las memorias, básicamente son sistemas que pueden almacenar uno o más datos evitando que se pierdan, hasta que nosotros lo consideremos necesario, es decir, pueden variar su contenido a nuestra voluntad. El corazón de una memoria son los flip-flops, este circuito es una combinación de compuertas lógicas, A diferencia de las características de las compuertas solas, si se unen de cierta manera, estas pueden almacenar datos que podemos manipular con reglas preestablecidas por el circuito mismo. Los flip-flops pueden tener varias entradas, dependiendo del tipo de funciones internas que realicen, pero sólo tienen dos salidas: Q que es la salida normal, y Q' que es la negación de la salida normal.
FLIP-FLOP SR, JK, y D
Registro Básico construido con compuertas NAND
Este es el circuito más sencillo y básico de un FF, Puede ser construido a partir de dos compuertas NAND o dos compuertas NOR con dos entradas, a continuación se ilustra con compuertas NAND, y es denominado "Registro Básico NAND". La forma de conectarlas es la siguiente: Se deja libre una de las entradas de cada compuerta, las sobrantes son conectadas independientemente de manera cruzada hacia la salida de la compuerta contraria. Quedando la conexión de la siguiente manera:
Tabla de verdad del Registro Básico NAND:
Registro Básico con compuertas NOR
La conexión del Registro Básico NOR es exactamente igual al del Registro NAND, pero los cambios en sus salidas son completamente diferentes. Tabla de verdad del Registro Básico NOR:
Flip-Flop tipo JK
Este FF es uno de los más usados en los circuitos digitales, y de hecho es parte fundamental de muchos circuitos avanzados como contadores y registros de corrimiento, que ya vienen integrados en un chip. Este FF cuenta con dos entradas de datos J y K, su función es en principio la misma que el Registro básico NAND o NOR, pero con la diferencia que la condición en las entradas J = 1, K = 1, a diferencia del Registro NAND, que generaría una salida errónea o no deseada, en un FF JK, obliga a las salidas a conmutar su estado al opuesto (Toggle) a cada pulso del reloj. Esto lo convierte en un tipo de FF muy versátil. Tabla de verdad de un FF tipo J-K síncrono.
Flip Flop tipo D
A diferencia de los FF tipo J-K, el FF tipo "D" (Datos, Data) sólo cuenta con una entrada para hacer el cambio de las salidas. A cada pulso del reloj (dependiendo si el FF utiliza una TPP o una TPN) el estado presente en la entrada "D" será transferido a la salida Q y Q’. Tabla de verdad de un FF tipo "D":
Una de las aplicaciones de mayor uso para este tipo de FF es al de la transferencia de datos de forma paralela, conectando varios FF tipo "D" a X número de bits, podemos hacer que la información de todos los bits pase inmediatamente a la salida de cada FF con sólo un pulso de reloj. (Córdova, 2012)
Diseño de Circuitos Secuenciales
El circuito secuencial debe ser capaz de mantener su estado durante algún tiempo, para ello se hace necesario el uso de dispositivos de memoria. Los dispositivos de memoria utilizados en circuitos secuenciales pueden ser tan sencillos como un simple retardador (inclusive, se puede usar el retardo natural asociado a las compuertas lógicas) o tan complejos como un circuito completo de memoria denominado multivibrador biestable o Flip Flop. (Regidor, 2015)
La salida del elemento de retraso es una copia de la señal de entrada retraso un determinado tiempo; mientras que la salida del elemento de memoria copia los valores de la entrada cuando la señal de control tiene una transición de subida, por lo que la copia no es exacta, sino que sólo copia lo que interesa. Por lo tanto, el modelo clásico de un sistema secuencial consta de un bloque combinacional,que generará la función lógica que queramos realizar, y un grupo de elementos de memoria con una serie de señales realimentadas. El método a seguir para diseñar un circuito secuencial es el siguiente:
1. A partir del enunciado del problema, construir el diagrama de estados y/o la tabla de estados y salidas.
2. Determinar las variables de estado (salidas de flipflops) y asignar a cada estado una combinación de valores de estas variables.
3. Sustituir los valores numéricos en la tabla de estados para obtener una tabla de transiciones y salidas.
4. Seleccionar el tipo de flip-flop a emplear (los JK conducen siempre a las expresiones más sencillas).
5. Construir las tablas de excitación para cada flip-flop, y obtener a partir de ellas los mapas de excitación para minimización.
6. Obtener las ecuaciones de excitación.
7. Construir los mapas de Karnaugh para las salidas.
8. Obtener las ecuaciones de salida.
Aplicación de Circuitos Secuenciales
Los sistemas secuenciales constituyen un conjunto de circuitos muy habituales en la vida cotidiana. En cualquier componente que precise almacenar algún dato, se hace necesario un sistema secuencial. Cualquier elemento de programación que tenga que realizar más de una función, necesita un sistema secuencial.
Registros de desplazamiento
Sirven para almacenar un número binario de tantos bits como bi-estables contenga el circuito. Se construyen a partir de bi-estables de tipo D.
Existen dos tipos:
· Registros de almacenamiento.
· Registros de desplazamiento.
De acuerdo como se introduzca la información, pueden ser de varias clases:
· Serie: La información se introduce bit a bit y se extrae del mismo modo.
· Paralelo: La información se introduce y se extrae de “golpe”.
· Híbridos: Entrada serie/salida paralelo o viceversa.
Contadores
Sirven para contar pulsos cíclicamente, habitualmente en binario natural, hacia delante (contadores), o hacia atrás (descontadores). En el sentido más elemental, los contadores son sistemas de memoria que recuerdan cuántos pulsos de reloj han sido aplicados en la entrada. Se produce un nuevo conteo cada n ciclos de reloj.
Existen dos tipos.
· Síncronos: todos los bi-estables comparten la misma señal de reloj.
· Asíncronos: cuando no son síncronos
Se construyen a partir de bi-estables de tipoT. Cada bi-estable cambia cuando el anterior pasa de 1 a 0 y el primero lo hace con cada pulso que le llega.
Memorias RAM
Acrónimo de Random Access Memory (Memoria de Acceso Aleatorio) es donde el ordenador guarda los datos que está utilizando en el momento presente; son los famosos "megas" que en número de 128, 256 o 512 Mb, o incluso 1024Mb = 1Gb que aparecen en los anuncios de ordenadores.
La diferencia entre la RAM y otros tipos de memoria de almacenamiento, es que es mucho más rápida, y que se borra al apagar el ordenador, no como las otras. En sistemas digitales complejos resulta muy útil disponer de un amplio número de registros dentro del mismo circuito integrado. Los terminales de entrada y salida a estos registros serán comunes para todos ellos y unas entradas adicionales de control o direccionamiento indicarán en cada momento a cuál de los registros nos estamos refiriendo. (Díaz, 2010)
Familias Lógicas
Una familia lógica es el conjunto de circuitos integrados (CI’s) los cuales pueden ser interconectados entre sí sin ningún tipo de Interface o aditamento, es decir, una salida de un CI puede conectarse directamente a la entrada de otro CI de una misma familia. Se dice entonces que son compatibles.
Las familias pueden clasificarse en bipolares y MOS. Podemos mencionar algunos ejemplos. Familias bipolares: RTL, DTL, TTL, ECL, HTL, IIL. Familias MOS: PMOS, NMOS, CMOS. Las tecnologías TTL (lógica transistor- transistor) y CMOS (metal oxido-semiconductor complementario) son los más utilizadas en la fabricación de CI’s SSI (baja escala de integración) y MSI (media escala de integración). (Castillo, 2016)
TTL
Viene de las iniciales: Transistor-Transistor-Logic o Lógica Transistor-Transistor. La familia de circuitos integrados TTL tiene las siguientes características:
· El voltaje de alimentación es de + 5 Voltios, con: Vmín = 4.75 Voltios y Vmáx = 5.25 Voltios. Por encima del voltaje máximo el circuito integrado se puede dañar y por debajo del voltaje mínimo el circuito integrado no funcionaría adecuadamente.
· Su fabricación se logra con transistores bipolares multiemisores. (Unicrom, 2016)
ECL
La familia ECL, Lógica Acoplada en Emisor (emmiter-coupled logic) son unos circuitos integrados digitales los cuales usan transistores bipolares, pero a diferencia de los TTL en los ECL se evita la saturación de los transistores, esto da lugar a un incremento en la velocidad total de conmutación. La familia ECL opera bajo el principio de la conmutación de corriente, por el cual una corriente de polarización fija menor que la corriente del colector de saturación es conmutada del colector de un transistor al otro. Este tipo de configuraciones se les conoce también como la lógica de modo de corriente (CML; current-mode logic). (Millman, 1979)
MOS
La tecnología MOS surge a fin de hacer circuitos integrados cada vez más fiables e inmunes al ruido, y a la necesidad de reducir el tamaño de los circuitos integrados digitales. Algunas de sus ventajas frente a otras tecnologías son:
· Muy baja disipación de potencia. Su bajo consumo hacen que se pierda poca potencia.
· Amplios márgenes de ruido y salto lógico. El ruido son las variaciones de tensión que se producen en las señales debido a interferencias electromagnéticas, que pueden venir de aparatos eléctricos, antenas, nuestras manos, e incluso del espacio exterior.
Estas interferencias pueden provocar que una puerta lógica cambie de estado y dé un valor de salida erróneo.
El MOSFET es un dispositivo de cuatro terminales: el drenador (D, drain), la puerta (G, gate), el surtidor o fuente (S, source) y el sustrato (B, bulk). La corriente en el interior del dispositivo puede ser en forma de electrones o huecos, fluye desde la fuente hasta el drenador, y es controlada por la puerta. El terminal de sustrato se utiliza para fijar la tensión umbral del transistor, mediante la aplicación de una tensión constante. Bajo el terminal de puerta existe una capa de óxido (SiO2) que impide prácticamente el paso de corriente a su través; por lo que el control de puerta se establece en forma de tensión. La calidad y estabilidad con que es posible fabricar estas finas capas de óxido es la principal causa del éxito alcanzado con este transistor, siendo actualmente el dispositivo más utilizado. Además, este transistor ocupa menos volumen que el BJT, lo que permite una mayor densidad de integración.
CMOS
Como en todo desarrollo tecnológico, existe un estudio previo para poder aplicar una tecnología determinada y sacar un máximo provecho de la arquitectura predefinida, y es por ello que en esta ocasión hablaremos de una de las que se aplica sobre el corazón de todo equipo, el Procesador, que tiene como premisa fundamental el menor consumo energético posible.
Esta tecnología lleva el nombre en inglés de Complementary Metal Oxide Semiconductor, y es mayormente conocido gracias a su acrónimo, CMOS, estando presente no solo en este campo de la informática sino también en la fabricación de los distintos Circuitos Electrónicos Integrados, conocidos popularmente como Chip o Microchip.
Se usan conjuntamente MOSFET (MOS Field-Effect transistor, transistor de efecto campo MOS) de canal n (NMOS) y de canal p (PMOS) en el mismo circuito, para obtener varias ventajas sobre las familias P-MOS y N-MOS. La tecnología CMOS es ahora la dominante debido a que es más rápida y consume aún menos potencia que las otras familias MOS. Estas ventajas son opacadas un poco por la elevada complejidad del proceso de fabricación del CI y una menor densidad de integración. (Tristán, 2017)
Bajo voltaje (LVT, LV, LVC, ALVC)
El sistema de señal diferencial de bajo voltaje o LVDS (low-voltage differential signaling), es un sistema de transmisión de señales a alta velocidad sobre medios de transmisión baratos, como puede ser el par trenzado. Fue introducido en 1994 y se hizo popular en redes de computadores de alta velocidad para la transmisión de datos.
LVDS es un sistema de señal diferencial, lo que significa que la señal es transmitida por duplicado por el emisor pero con diferente voltaje, una señal transmitida con signo positivo, mientras que la otra es transmitida con signo negativo. Para ello se requieren dos cables diferenciados. Una vez la señal llega al receptor, éste se encarga de comparar ambas señales, y comprobar cuál de las dos tiene mayor potencial y asignar el valor lógico que corresponda.
La transmisión de los datos de los sistemas que utilizan este tipo de transmisión de señal se realiza en serie (bit a bit), debido a tener que doblar el número de cables necesarios para la transmisión, lo que en sistemas de transmisión en paralelo sería inviable. El objetivo de la transmisión diferencial es poder recuperar más fácilmente la señal en el receptor, gracias a que es más fácil comprobar qué señal es más positiva que otra, que obtener el potencial de la señal. (Holland, 2002)
Dentro de las familias lógicas de baja tensión se encuentran: LV, LVC, ALVC, LVT, ALVT, AVC, y LVQ. Podemos observar que el margen de tensiones en el que pueden funcionar, garantizando un correcto funcionamiento, va desde 2.3 a 3.6V, siendo una tensión típica de alimentación 3.3V. Las familias LV, LVC y ALVC están realizadas con tecnología CMOS y la familia LVT con tecnología BiCMOS.
Bibliografía
Arroyo, C. (2003). Monografías. Recuperado el 5 de Abril de 2018, de http://www.monografias.com/trabajos14/algebra-booleana/algebra-booleana.shtml
Castillo, R. (11 de Enero de 2016). Ladelec. Recuperado el 6 de Abril de 2018, de http://www.ladelec.com/teoria/electronica-digital/363-familias-logicas-de-circuitos-integrados
Cauich, J. (2014). Scribd. Recuperado el 6 de Abril de 2018, de https://es.scribd.com/document/74629353/INTRODUCCION-logica-secuencial
Córdova, R. (27 de Octubre de 2012). Monografías. Recuperado el 5 de Abril de 2018, de http://www.monografias.com/trabajos96/introduccion-flip-flop/introduccion-flip-flop.shtml
Cuevas, J. (2014). Electronic Web Easy. Recuperado el 5 de Abril de 2018, de https://electronicwebeasy.es.tl/IMPLEMENTACION-Y-APLICACIONES.htmDíaz, R. (2010). E-ducativa. Recuperado el 6 de Abril de 2018, de http://e-ducativa.catedu.es/44700165/aula/archivos/repositorio/4750/4922/html/2_aplicaciones_de_sistemas_secuenciales.html
Holland, N. (Diciembre de 2002). Texas Instruments. Recuperado el 6 de Abril de 2018, de http://www.ti.com/lit/an/slla120/slla120.pdf
León, V. (2013). Ingeniería de Ideas. Recuperado el 5 de Abril de 2018, de http://ingenieradeideas.blogspot.mx/2014/04/implicantes-primos-mccluskey-quine-vs.html
Millman, J. (1979). Ecured. Recuperado el 6 de Abril de 2018, de https://www.ecured.cu/Familia_L%C3%B3gica_ECL
Octavio. (5 de Marzo de 2018). Kerchak. Recuperado el 5 de Abril de 2018, de https://kerchak.com/diseno-de-circuitos-logicos-combinacionales/
Regidor, J. C. (2015). Universidad Simón Bolívar. Recuperado el 6 de Abril de 2018, de http://gecousb.com.ve/guias/GECO/Circuitos%20Digitales%20(EC-1723)/Gu%C3%ADas%20(EC-1723)/EC-1723%208%20Dise%C3%B1o%20de%20Circuitos%20Secuenciales.pdf
Salas, P. (19 de Junio de 2015). El Rincón del Salas. Recuperado el 5 de Marzo de 2018, de https://elrincondelsalas.wordpress.com/2015/06/19/minterminos-y-maxterminos/
Tristán, Á. I. (1 de Diciembre de 2017). Wiki Clasificación de Circuitos. Recuperado el 6 de Abril de 2018, de http://es.clasificacion-de-circuitos.wikia.com/wiki/Circuitos_MOS_y_C-MOS#WikiaArticleComments
Trujillo, J. A. (23 de Agosto de 2011). Electrónica Digital. Recuperado el 5 de Abril de 2018, de https://sites.google.com/site/electronicadigitaluvfime/2-1-compuertas-logicas-y-tablas-de-verdad
Unicrom. (2016). Electrónica Unicrom. Recuperado el 6 de Abril de 2018, de https://unicrom.com/familia-de-circuitos-integrados-ttl/
Valenzuela, F. (8 de Noviembre de 2016). Electrónica Teoría y Práctica. Recuperado el 5 de Abril de 2018, de http://electronica-teoriaypractica.com/como-usar-karnaugh/
image4.gif
image5.gif
image6.png
image7.png
image1.png
image2.png
image3.png

Continuar navegando

Materiales relacionados

694 pag.
Electrônica Digital

Vicente Riva Palacio

User badge image

Carlos Saez

351 pag.
Sistemas digitales electronica digital - Garza

Vicente Riva Palacio

User badge image

Carlos Saez

124 pag.