Logo Studenta

REPORTE PRACTICA 7 LABORATORIO DE DISPOSITIVOS LOGICOS PROGRAMABLES

¡Estudia con miles de materiales!

Vista previa del material en texto

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO
FACULTAD DE ESTUDIOS SUPERIORES CUAUTITLÁN 
Ingeniería en Telecomunicaciones, Sistemas y Electrónica
LABORATORIO DE DISPOSITIVOS LOGICOS PROGRAMABLES
 GRUPO: 1809 
PRACTICA NO. 7
“IMPLEMENTACION DE TABLAS DE VERDAD CON GAL’S”
FECHA DE ENTREGA: XX-11-17
PROFESOR: HECTOR MIGUEL SANTOYO MORALES
ALUMNO: JORGE ANTONIO JIMENEZ BERNAL
SEMESTRE 2018-I
Tema: 
2.6. Arreglos lógicos genéricos (GAL’s). 
Objetivos 
• Implementar tablas de verdad de circuitos lógicos dentro de un dispositivo lógico programable considerando lenguaje VHDL. 
• Utilizar la característica de concurrencia del lenguaje VHDL para la representación de una tabla de verdad. 
Introducción 
En esta práctica implementaremos las tablas de verdad de 3 circuitos lógicos empleando para ello la característica de concurrencia que tiene el lenguaje VHDL, el cual no ejecuta las instrucciones de forma secuencial sino de forma concurrente y cualquier cambio en las variables de entrada se refleja inmediatamente en las variables de salida puesto que el sistema funciona como lo hace un circuito verdadero, ya que este sistema no depende de la programación como lo hacen los microprocesadores. 
El primer circuito es un Codificador de código binario a un código de 7 segmentos que tiene una tabla de verdad como la mostrada en la figura 7.1.
El cual se implementará a partir de la función WITH … SELECT del lenguaje VHDL, la cual permite definir la salida en función del valor de una variable de selección. 
En las variables de entrada se empleará el método de definición de vector lógico estándar, para poderlas manipular en forma de bloque. 
En el segundo circuito se implementará un Codificador de BCD a 7 segmentos considerando la variante de WITH … SELECT empleando la palabra OTHERS que define a todas las combinaciones de entrada que no han sido empleadas dentro de la tabla, sin importar si están en orden o no. 
De las 16 combinaciones posibles para 4 bits de entrada, solo se emplearán las 10 posibles para código BCD y las 6 combinaciones restantes se agrupan en la declaración OTHERS. 
Para la tercera parte de la práctica emularemos el comportamiento de un circuito 74138, cuya función es Codificador/Demultiplexor de 1 a 8, como el que se muestra en la figura 7.2
Puede emularse el comportamiento del circuito 74LS138 empleando un dispositivo lógico programable GAL22V10D identificando solamente la tabla de verdad de la figura 7.4 e implementando dichas relaciones a través del lenguaje VHDL.
Material Y Equipo
1 Circuito GAL22V10D 
1 Display de 7 segmentos cátodo común 
8 Resistencias de 330 Ω 
1 Barra de 8 leds ó en su defecto 8 leds individuales. 
1 Fuente de voltaje de CD. 
1 Multímetro 
1 Programador Universal 
1 Protoboard
Conclusiones
No se tuvo inconvenientes a la hora de generar e implementar en VHDL las funciones lógicas necesarias para cada una de la salidas, sin embargo a la hora de realizar las conexiones a la GAL con el teclado físico , observamos que este tenía 9 terminales en vez de las 7 que mostraba la práctica , así que tuvo que probarse cada terminal para ver cual activaba las filas y con que columna , para así poder conectarla de manera correcta a la GAL y que la función se realizara satisfactoriamente.
Referencias
Apuntes de la materia de PLD

Otros materiales